Welcome![Sign In][Sign Up]
Location:
Search - SVPWM FPGA

Search list

[Books基于FPGA的NPC三电平VSI系统设计和SVPWM算法研究

Description: 近年来,多电平逆变器,包括三电平逆变器,在DC/AC、交流电机调速等 领域得到广泛应用。相对传统的两电平变流器,三电平逆变器具有很多优点: 单管耐压相对提高:开关损耗降低;电磁干扰减小;功率因素提高等。但同时 也应该看到限制多电平逆变器发展的诸多因素:随着电平数的增加,计算量呈 几何级数增加;中点电压治理困难;新出现的理论算法在实验室内验证困难等
Platform: | Size: 836309 | Author: cuitaotao2010@163.com | Hits:

[VHDL-FPGA-VerilogSVPWM

Description: 这是一个对电机进行SVPWM调速控制的VHDL源代码程序,包括了rtl主程序和测试sim仿真程序-This is a motor SVPWM Speed VHDL source code control procedures, including the main program and test rtl simulation program sim
Platform: | Size: 13312 | Author: 杨国超 | Hits:

[VHDL-FPGA-VerilogSPWM

Description: VHDL采用自然采样法写的SPWM,里面有正弦表,可以通过外接输入正弦波和三角波的频率。 -VHDL using written natural sampling SPWM, there are sine table, you can enter through the external sinusoidal and triangular wave frequency.
Platform: | Size: 7168 | Author: zyb | Hits:

[Industry researchDevelopment-of-an-FPGA-Based

Description: An FPGA-Based servo controller for permanent magnet synchronous motor (PMSM) drive is designed and realized in this paper. The FPGA-Based controller has two modules. One module performs the function of the current/speed loop control for PMSM drives, which includes vector control strategy, the PI regulator, coordinate transformation, the SVPWM generator.
Platform: | Size: 276480 | Author: xray | Hits:

[Software EngineeringSVPWM_FPGA_ContainSourceCode

Description: 广东工业大学硕士论文《SVPWM算法优化及其FPGA/CPLD实现》,在详细分析经典SVPWM算法基础上,提出一种优化算法,并在FPGA上实现。论文附录包含VHDL源码。-Guangdong University Thesis " SVPWM algorithm to optimize its FPGA/CPLD realization" in the detailed analysis of the classical SVPWM algorithm is proposed based on an optimization algorithm, and implemented on FPGA. Paper appendix contains VHDL source code.
Platform: | Size: 2350080 | Author: 午后薄荷 | Hits:

[VHDL-FPGA-VerilogSvpwmm

Description: Verilog HDL 写的SVPWM 算法的实现,使用的是altera 风暴系列的FPGA,占用资源1w+逻辑宏单元-Verilog HDL ,SVPWM
Platform: | Size: 21296128 | Author: neme | Hits:

[VHDL-FPGA-VerilogSVPWM-VHDL

Description: fpga永磁同步电机矢量控制系统,包括死区等模块-fpga foc
Platform: | Size: 13312 | Author: 申彦磊 | Hits:

[Software EngineeringSVPWM_method

Description: 给出了SVPWM算法的详细FPGA实现方法!-A detailed FPGA SVPWM algorithm to achieve the method!
Platform: | Size: 2326528 | Author: 袁先生 | Hits:

[File Format123456789

Description: 给出了SVPWM算法的详细FPGA实现方法!-A detailed FPGA SVPWM algorithm to achieve the method!
Platform: | Size: 2326528 | Author: 袁先生 | Hits:

[VHDL-FPGA-Verilogsvpwm_full_nios

Description: 实现verilog的svpwm 对于算法开发有很好的帮助。。希望大家多多学习了。(Implementation of verilog svpwm for the development of the algorithm has a very good help. The I hope you learn a lot.)
Platform: | Size: 14814208 | Author: 头上两只角 | Hits:

[VHDL-FPGA-VerilogFPGA_program

Description: 采用verilog实现RTLAB多路驱动程序(Using Verilog to achieve RTLAB multi-channel driver)
Platform: | Size: 1695744 | Author: annayang1986 | Hits:

[matlabSV

Description: 基于dspbuilder的svpwm仿真,可以直接转化为VHDL程序,加载到FPGA中使用(SVPWM simulation based on dspbuilder, can be directly converted to VHDL program, loaded into the use of FPGA)
Platform: | Size: 13312 | Author: 灵萱uiq | Hits:

[OtherSVPWM

Description: 实现SVPWM的算法实现和仿真,基于FPGA平台用VHDL语言编写(Realization and Simulation of algorithm for realizing SVPWM)
Platform: | Size: 1013760 | Author: Yuholic | Hits:

[Embeded-SCM Developinj CHEBI AZEDDINE_2

Description: This paper proposes two control strategies,configuration of induction motor. A space vector pulse width modulation (SVPWM) based 3-level voltages
Platform: | Size: 2305024 | Author: menaouar | Hits:

[Embeded-SCM DevelopSvpwmm

Description: 用verilog语言对svpwm的仿真出来,可用于交流电机调速(Using Verilog language to simulate SVPWM, it can be used for AC motor speed regulation.)
Platform: | Size: 29896704 | Author: 曹俊鹏 | Hits:

CodeBus www.codebus.net